网站首页 > 理财知识 >

同方股份有限公司英文

2023-08-01 20:02:58 理财知识 阅读 0

Bitget下载

注册下载Bitget下载,邀请好友,即有机会赢取 3,000 USDT

APP下载   官网注册

(报告出品方/作者:东吴证券,王紫敬)

1.EDA是“半导体皇冠上的明珠”

1.1. EDA 是用于 IC 设计生产的工业软件

EDA 是用来辅助超大规模集成电路设计生产的工业软件。EDA 全称是电子设计自 动化(Electronic Design Automation),是指用于辅助完成超大规模集成电路芯片设计、 制造、封装、测试整个流程的计算机软件。随着芯片设计的复杂程度不断提升,基于先 进工艺节点的集成电路规模可达到数十亿个半导体器件,不借助 EDA 已经无法完成芯 片设计。EDA 与产业链结合愈加紧密,已经成为提高设计效率、加速技术进步的关键推 手。

EDA 几乎涉及集成电路的各个方面。在设计生产流程方面,EDA 被应用在芯片系 统的设计、制造、封装、测试全流程,涉及给芯片设计公司使用的设计类软件和给晶圆 厂使用的晶圆制造软件等。从电子系统层级上看,EDA 包括芯片、多芯片模块和印制电 路(PCB)板多个层级。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


EDA 杠杆效应、经济效应显著。根据 ESD Alliance 和 WSTS 数据,2020 年全球 EDA 市场规模仅为 115 亿美元,却撬动着 4404 亿美元市场规模的半导体行业。一旦 EDA 这一产业链基础出现问题,整个集成电路产业都会受到重大影响,EDA 行业也是 最容易被外国“卡脖子”的关键领域。此外,EDA 对于节省芯片设计成本有着举足轻重 的作用。根据加州大学圣迭戈分校 Andrew Kahng 教授在 2013 年的推测,2011 年设计 一款消费级应用处理器芯片的成本约 4,000 万美元,如果不考虑 1993 年至 2009 年的 EDA 技术进步,相关设计成本可能高达 77 亿美元,EDA 技术进步让设计效率提升近 200 倍。以新思科技(Synopsys)2021 年 8 月推出的 EDA 设计平台 DSO.ai 为例,通过 引入人工智能,芯片设计中不需要去完整模拟无数次可能的布局,可以让芯片设计在研 发成本上减半,研发时间甚至也可以从 24 个月减少到 2 周。

1.2. EDA 的分类

针对不同种类芯片,EDA 有不同的工具。集成电路芯片(Integrated Circuit Chip, 简称 IC)从结构上可以分为数字 IC、模拟 IC 和数模混合 IC。数字 IC 指用于传递、加 工、处理数字信号(0 或 1 的非连续信号)的 IC。模拟 IC 指处理连续性的光、声音、 速度、温度等自然模拟信号的 IC。数模混合 IC 指同时包含模拟电路部分和数字电路部 分的 IC。数模混合 IC 中通常模拟电路是核心,数字电路用来控制模拟电路实现特定的 算法。在 IC 设计部分,EDA 软件主要有模拟 IC 和数字 IC 的两大类设计软件。

从设计步骤上芯片设计分为前端设计和后端设计。前端设计和后端设计并没有统一 严格的界限,根据具体公司和产品会略有不同。一般来讲用设计的电路实现想法就是前 端设计;将设计的电路制造出来,在工艺上实现想法就是后端设计。这就好比修盖房屋, 建筑设计图就属于前端设计,设计出房子的外部造型和内部结构;建筑施工图属于后端 设计,细化到建筑施工的步骤、方法和材料的用量、选择。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


从设计维度上芯片设计可以分为五个层级。设计类 EDA 工具根据设计方法学的不 同,按照设计层级自上而下,可进一步细分为行为级、系统级、RTL 级、门级、晶体管 级 EDA 工具。各层级 EDA 工具的仿真和验证精度依次提升、速度依次降低,其拟实现 的目标和应用场景也有所不同。例如高层级的系统和行为级仿真和验证主要适用于产品 设计早期的原型验证,评估产品原型的性能和功能;最底层的晶体管级仿真和验证则主 要决定了最终产品的性能和良率。针对于大规模集成电路,设计方法往往从系统和行为 级设计开始,逐层设计、仿真、验证和实现,并输出可以交付制造的晶体管级版图信息。

数字芯片和模拟芯片设计流程有很大不同。数字 IC 设计主要在抽象级别上完成, 不需要关注门/晶体管级放置和路由的细节,对设计人员经验要求相对较低。模拟 IC 设 计通常涉及每个电路的个性化特点,甚至涉及每个晶体管的大小和细节,设计和验证更 为复杂,对设计人员经验要求更高。

从设计自动化程度上芯片设计又可以分为全定制、半定制设计,全定制主要用于模 拟芯片,半定制用于数字芯片。全定制设计是指基于晶体管级,所有器件和互连版图都 用手工生成的方法。这种设计的很多工作要由人工完成,不便于直接利用现存电路的成 果,设计周期较长,成本也高。全定制设计多用于模拟 IC 和数模混合 IC。半定制设计 是基于门阵列和标准单元的,按用户所需功能,把成熟的、已优化的单元连接起来。半 定制设计成本低、周期短、芯片利用率低,适合于小批量、速度快的生产,多用于数字 IC。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


正因为数字芯片在抽象级别上完成,且对自动化程度要求更高,因此数字IC类EDA 工具的技术门槛更高。

1.3. EDA 的历史:从 CAD 到 EDA

第一阶段:计算机辅助设计(CAD)时代。在集成电路应用的早期阶段,集成电路 集成度较低,设计、布线等工作由设计人员手工完成。20 世纪 70 年代中期开始,随着 芯片集成度的提高,设计人员开始尝试将整个设计工程自动化,使用计算机辅助设计 (CAD)进行晶体管级版图设计、PCB 布局布线、设计规则检查、门级电路模拟和测试 等流程。

第二阶段:计算机辅助工程(CAED)时代。1980 年卡弗尔·米德和琳·康维发表 的论文《超大规模集成电路系统导论》提出了通过编程语言来进行芯片设计,是电子设 计自动化发展的重要标志。EDA 工具也在这个时期开始走向商业化,全球 EDA 技术领 导厂商新思科技(Synopsys)、楷登电子(Cadence)、西门子 EDA(2017 年收购的 MentorGraphics)分别于 1986 年、1988 年和 1981 年在美国成立。

第三阶段:电子设计自动化(EDA)时代。20 世纪 90 年代以后芯片集成度的不断 提高和可编程逻辑器件的广泛应用给 EDA 技术提出了更高的要求,也促进了 EDA 设计 工具的普及和发展,出现了以高级语言描述、系统级仿真和综合技术为特征的 EDA 技 术。

第四阶段:现代 EDA 时代。21 世纪以来,EDA 工具快速发展,并已贯穿集成电路 设计、制造、封测的全部环节。对于上亿乃至上百亿个晶体管规模的芯片设计,EDA 工 具保证了各阶段、各层次设计过程的准确性,降低了设计成本、缩短了设计周期、提高 了设计效率,是集成电路产业产能、性能进步的源头,EDA 工具的发展加速了集成电路 产业的技术革新。同时伴随着智能手机、4G/5G、物联网等技术的发展,射频 EDA 软件 迎来了发展的黄金阶段。(报告来源:未来智库)

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


1.4. EDA 的未来:与先进技术结合

后摩尔时代技术演进驱动 EDA 技术应用延伸拓展。后摩尔时代的集成电路技术演 进方向主要包括延续摩尔定律、扩展摩尔定律以及超越摩尔定律三类,主要发展目标涵 盖了建立在摩尔定律基础上的生产工艺特征尺寸的进一步微缩、以增加系统集成的多重 功能为目标的芯片功能多样化发展,以及通过三维封装、系统级封装等方式实现器件功 能的融合和产品的多样化。其中,面向延续摩尔定律方向,单芯片的集成规模呈现爆发 性增长,为 EDA 工具的设计效率提出了更高的要求。面向扩展摩尔定律方向,伴随逻 辑、模拟、存储等功能被叠加到同一芯片,EDA 工具需具备对复杂功能设计的更强支撑 能力。面向超越摩尔定律方向,新工艺、新材料、新器件等的应用要求 EDA 工具的发 展在仿真、验证等关键环节实现方法学的创新。

后摩尔时代系统设计是 EDA 技术变化方向。在原有摩尔定律定义下,芯片性能提 升主要来自工艺和架构,但工艺制程提升接近极限,摩尔定律显著放缓。在此背景下, 汽车、人工智能等领域的大型公司都开始定制自己的片上新系统,将其认定为自己差异 化竞争的关键因素。因此,对于 EDA 厂商来说,把定位从芯片设计转换到基于软硬件 协同的系统级设计是未来重要发展方向。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


AI 和云技术促使 EDA 更加智能化和自动化。AI 智能化的目标是从现有的 EDA 使 用过程中大幅减少芯片架构探索、设计、布局布线等重复性、低创造性工作的人力占比, 利用 AI 算法进行自动架构探索、设计生成和物理设计。随着芯片设计复杂度的提升, 数据量和计算量直线上升,云技术的使用使得 EDA 软件能够具有弹性计算、安全储存、 快速更新等功能,从而满足大数据量和计算量下的更高使用要求。

平台化和服务化。现有 EDA 是“工具和 IP 集合包”,未来有望发展为 EDA 平台, EDA 平台化将更加方便设计、制造、测试、封装上下游产业链相互沟通,共享资源。同 时 EDA 平台有望链接不同的设计、制造等厂商的横向链接,促进生态建设。虽然智能 化不断提升,但仍需要人工支持提供服务,服务平台的构建可以提供专业的咨询和设计 服务以及相关定制服务,从而满足个性化的需求。

2.全球EDA市场寡头垄断,国产EDA市场快速增长

2.1. 全球 EDA 市场平稳发展,三大巨头垄断

2020 年全球 EDA 市场规模为 115 亿美元,已经进入平稳发展期。根据 ESDAlliance 数据,2020 年全球 EDA 市场规模为 115 亿美元,2010-2020 年 10 年复合增速为 8%。 根据 Verified Market Research 数据,2028 年全球 EDA 市场规模有望达到 215.6 亿美元, 2020-2028 年 8 年复合增速为 8.21%。总体来看,全球 EDA 市场增速已经较为平稳。

数字 IC 为 EDA 市场主要构成部分。从下游芯片市场情况来看,数字芯片占据大部 分市场份额,根据 WSTS 数据,2020 年数字芯片市场规模达到 3055.68 亿美元,占整体 集成电路市场的 84.59%。受下游需求的影响,数字 IC 构成了 EDA 市场的主要部分, 根据 ESD Alliance 数据,2019 年数字全流程 EDA 业务规模达到 36.04 亿美元,占总体 市场的 52.8%。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


全球 EDA 企业按照业务水平可以大致分为三个梯队。第一梯队由 Synopsys、 Cadence、Siemens EDA 三家国际知名 EDA 企业组成。该类企业业务遍布全球,科研实 力雄厚,有全流程 EDA 产品,在部分领域处于领先地位,2020 年收入规模达到 10-40 亿美元。第二梯队以 ANSYS、Silvaco、Aldec Inc.、华大九天等为代表,该类企业拥有 特定领域全流程 EDA 产品,在局部领域技术较为领先,2020 年收入规模处于 0.5-5 亿 美元区间。第三梯队以 Altium、Concept Engineering、概伦电子、广立微、思尔芯、 DownStream Technologies 等为代表,该类企业在 EDA 上的布局主要以点工具为主,缺 少 EDA 特定领域全流程产品,2020 年收入规模低于 0.5 亿美元。

三大巨头垄断全球 EDA 市场。根据 ESD Alliance 和前瞻产业研究院数据,新思科 技(Synopsys)、楷登电子(Cadence)与西门子 EDA(2016 年收购的 Mentor Graphics) 三大寡头 2020 年全球 EDA 市场营收份额占比约为 70%。三大巨头是全球仅有的拥有设 计全流程 EDA 工具解决方案的企业,其他企业缺少布局设计全流程工具技术的实力。

其中,Synopsys(新思科技,美国)一直致力于复杂芯片系统(SoCs)的开发。Synopsys 的逻辑综合工具 DC(design compiler)和时序分析工具 PT(Prime Time)在全球 EDA 市场认 可度较高。Cadence(楷登电子科技,美国)产品涵盖了电子设计的整个流程。全球知名半 导体与电子系统公司均将 Cadence 软件作为其全球设计的标准。Mentor Graphics(明导国 际,2016 年被德国西门子收购)工具虽没有前两家全面,但在某些领域,如 PCB(印刷 电路板)设计工具等方面有可圈可点的独到之处。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


全球 EDA 第一厂商 Synopsys(新思科技)。新思科技成立于 1986 年,在 2008 年成 为全球营收排名第一的 EDA 软件厂商。2020 年新思科技营收为 36.85 亿美元,归母净利润为 6.63 亿美元,2020 年在全球 EDA 市场的营收份额为 32%。新思科技产品线最为 全面,是全球唯一一家覆盖了从硅的生产制造、芯片测试、到设计全流程的 EDA 公司, 公司产品优势体现在数字前端、数字后端和验证测试等环节。

曾经的霸主 Cadence(楷登电子)。Cadence 在 1988 年由 SDA 与 ECAD 两家公司 兼并而成,Cadence 通过一系列收并购,在 1992 年成为 EDA 行业营收第一名的霸主, 但在 2008 年被 Synopsys 超越,2020 年营收为 26.83 亿美元,归母净利润为 5.91 亿美 元。Cadence 的优势在于模拟和混合信号的定制化电路和版图设计。

Mentor Graphics(明导国际,2016 年被德国西门子收购)。Mentor Graphics 于 1981 年成立,20 世纪 90 年代遇到经营困境,产品研发落后于行业竞争对手,大量长期客户 流失,难以与其他两家公司竞争,直到 1994 年公司组织结构大调整后,才重新崛起。 Mentor Graphics 2016 年被西门子收购,不再单独披露相关财务数据,2016 年营收为 12.82 亿美元,归母净利润为 1.55 亿美元。Mentor Graphics 在物理验证和 PCB 领域优势明显。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


2.2. 中国 EDA 市场增长迅速,国产化率极低

与国际市场相比,中国 EDA 市场规模增速更快。根据赛迪智库数据,2018 年,我 国 EDA 市场总销售额为 44.9 亿元,而到 2020 年我国 EDA 市场销售额已经达到 66.2 亿 元,2 年复合增速为 21.42%,远高于全球市场营收规模 2018-2020 年 2 年 9%的复合增 速。

中国 EDA 市场国产化率极低,三大巨头仍然垄断。虽然中国 EDA 市场营收规模增 速远高于全球增速,但由于我国 EDA 厂商起步较晚,在产品性能与生态协同方面均处 于劣势,国内市场份额大多为国外厂商所占据。根据赛迪智库和前瞻产业研究院数据, 2020 年国际 EDA 三大巨头 Synopsys,Cadence 和 Siemens EDA 在我国合计营收规模市 场份额占比为 78%,国产厂商占比不到 15%,国产化率极低,国产替代空间广阔。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


2.3. IP 业务是 EDA 新增长极

计算机辅助工程(CAE)和 IP 为 EDA 市场业务主要构成部分。EDA 市场业务主 要可以细分为计算机辅助工程(CAE)、IC 物理设计及验证、PCB 与多芯片模块以及半 导体 IP 核等。根据 ESD Alliance 数据,从细分领域看,EDA 各细分领域营收占比基本 保持稳定,2020 年占据市场规模较大的部分为 CAE 与 IP,两者合计占比达到近 67%。 其中 CAE(Computer Aided Engineering)主要包括电子系统级设计及综合验证、设计输 入、逻辑验证、模拟和混合信号模拟器、形式验证、时序/仿真分析以及测试/测试自动化设计。IP(Intellectual Property Core)是芯片设计图中具有独立功能电路模块的成熟设计。 设计师可以把成熟的 IP 模块设计应用于多个复杂的芯片的电路设计图中,能避免复杂 和重复的设计工作,缩短设计周期,提高芯片设计的成功率。IP 业务从 2010 年开始在 EDA 市场营收占比开始不断增长,到 2020 年已经达到 35.22%,成为了营收占比最大的 业务领域。

IP 已经成为海外 EDA 公司的重要收入。Synopsys 和 Cadence 的 IP 收入占总营收 比重逐年增长,尤其是 Synopsys,2020 年,Synopsys 的 IP 收入占总营收比重已经达到 33%。Synopsys 对于 IP 业务的布局,更加稳固了其在 EDA 市场全球领先的地位。三大 巨头中的 Mentor Graphics 对于 IP 的定位不同,于 2004 年就选择退出 IP 市场,也一定 程度上导致了最终被 Siemens 收购的结局。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


3.从美国EDA强盛之路看EDA产业发展规律

3.1. 政府支持是基石

美国国家科学基金每年提供大量资金支持。美国国家科学基金(NSF)主要负责促 进突破性的发现,据 IEEE 数据,美国国家科学基金(NSF)在 1984 年至 2015 年间共 支持了 1190 个与 EDA 强相关的研究课题,每年投资额大约在 800 万美元到 1200 万美 元。

半导体研究联盟促进企业集中技术创新。除 NSF 外,半导体研究联盟(SRC)也为 美国 EDA 行业的发展提供了帮助。SRC 是世界领先的大学半导体和相关技术研究联盟, 是推动美国半导体共性技术发展的关键性力量。其行业合作伙伴包括应用材料公司 AM、 格罗方德 GLOBALFOUNDRIES、IBM、英特尔公司、美光科技公司、雷神公司、德州 仪器公司和联合技术公司。SRC 在整合行业资源、专注于共性的“竞争前”领域起到了 关键作用,各家 EDA 企业通过 SRC 将研究资金聚集起来集中力量进行产业共性技术创 新。

NSF 与 SRC 相互合作帮助企业渡过初期难关。NSF 资助的 EDA 研究项目主要为 刚刚起步、较为初期的阶段,在项目技术成熟度逐渐提高后,SRC 成为了接棒者,继续 给予支持。EDA 是技术密集型行业,前期需要大量的研发投入,商业回报较小,需要像 NSF、SRC 这样的政府机构给予支持。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


美国 DARPA 实行 ERI 计划为 EDA 企业持续赋能。为迎接后摩尔定律的挑战,美 国国防高级研究计划局(DAPRA)于 2017 年启动电子复兴计划(ERI),在随后 2018- 2023 年内投资约 15 亿美元,旨在解决半导体技术的发展瓶颈,2020 年美国两党两院建 议追加 20 亿美元用于 ERI 计划。ERI 计划主要聚焦于三个重点方向:材料和集成、架 构和设计,其中设计部分可以拆分为 IDEA 与 POSH 两部分。2018 年 7 月,美国首届 “ERI”峰会召开,会议选出了 ERI 第一批入围扶持项目。其中,Cadence 获得了 IDEA 项目 2410 万美元的补贴,该项目致力于创建一个“无需人工参与”的芯片布局规划生 成器。Synopsys 获得了 POSH 项目 610 万美元的补贴,该项目旨在用开源的方式,实现 复杂 SoC 的低成本设计。

注重大学研究,建立大学研究中心网络,为大学提供充足资金支持。2013 年,SRC 公布了 STARnet 计划,与美国国防部高级研究计划局(DARPA)投资的大学研究中心 网络,跨越 24 个州的 42 所大学,计划在 2013-2018 年向六个大学研究中心投资 1.94 亿 美元,重点研究下一代微电子技术。STARnet 计划所研究的技术可能至少在未来 10-15 年内都不会具有商业可行性,但成员们将能够对产生的 IP 进行再授权。STARnet 计划 是对“焦点中心研究计划(FCRP)”的延续。2008 年,全国共有 5 个 FCRP 中心,其中 GSRC 和 C2S2 中心与 EDA 项目直接相关,来自这两个中心的与 EDA 相关的资金估计在 400 万美元到 500 万美元之间。同时在 2018 年 DARPA 发布的 ERI 第一批资助名单中,IDEA与 POSH 计划提供给各入围大学共计约 6000 万美元。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


3.2. 人才、技术和生态是 EDA 行业的核心竞争要素

人才是 EDA 发展的核心。EDA 软件涉及半导体、数学、芯片设计三方面知识,需 要掌握这三方面知识的复合人才。根据新思科技中国区副总经理陈志昌先生所言,培养 一个 EDA 人才不容易,从高校课题研究到能够真正实践从业,往往需要十年的时间。 根据第 23 届中国集成电路制造年会披露数据,全球 EDA 行业从业人数仅有 4 万人左 右,因此 EDA 人才培养体系十分重要。

以新思科技为例,新思科技注重人才培养,其人才培养战略包括新思科技大学课程 体系、新思科技大学计划以及积极参与国家人才战略等方面。新思科技开发了一套集成 电路设计全套教程,包括 131 门本科及研究生课程、24 门训练课程、37 门讲座及实验, 适用于集成电路相关专业的大学本科和硕士研究生。从EDA人才培养成果上看,仅2019- 2020 年这一年时间内,已有 30000 人参与到了新思科技人才项目当中,20 所国内高校 与新思科技建立了人才培养相关合作。

持续研发是 EDA 发展的动力。EDA 软件是算法密集型的大型工业软件系统,EDA 开发需要涉及到计算机、物理、数学等多方面知识。芯片设计更迭速度不断加快,EDA 软件公司需要不断加大研发投入,确保自己技术领先。同时,EDA 巨头们正是凭借大量 的知识产权保持领先地位。全球三大巨头垄断的格局在 2000 年后就较为稳定,2010-2020 年三大巨头营收年复合增速都接近 10%,但仍然保持着 30%-40%的研发费用率,个别年 份超过 40%。2020 年,Synopsys 和 Cadence 的研发费用分别高达 13 亿和 10 亿美元, 几乎是 2020 年中国 EDA 市场销售规模的两倍。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


产业链协同是 EDA 发展的保障。芯片设计的先进工艺是由晶圆厂、设计公司和 EDA 软件厂商共同推进的成果。晶圆厂从材料、化学、工艺过程等制造步骤来寻求工艺突破; EDA 公司借助晶圆厂的测试数据和工艺细节文件来改进 EDA 软件;芯片设计公司使用 新的 EDA 模型进行设计、试生产,反馈到晶圆厂和 EDA 公司改善制造工艺和软件模 型。晶圆厂、EDA 软件公司、设计公司相辅相成,互相合作,共同推进技术进步。

其中,PDK(Process Design Kit)是沟通 IC 设计公司、代工厂与 EDA 厂商的桥梁。 具体来说,PDK 是一组描述半导体工艺细节的文件,供芯片设计 EDA 工具使用。客户 会在投产前使用晶圆厂的 PDK,确保晶圆厂能够基于客户的设计生产芯片,保证芯片的 预期功能和性能。PDK 包含了反映制造工艺基本的元素:晶体管、接触孔、互连线等, 包括设计规则文件、电学规则文件、版图层次定义文件、SPICE 仿真模型、器件版图和 期间定制参数。完善的产业链使得客户的 PDK 可以给予 EDA 厂商充分反馈,使厂商根 据 PDK 改进产品以满足客户需求。获得更全、更新的 PDK 也往往成为头部 EDA 厂商 的比较优势。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


3.3. 并购是 EDA 厂商扩张的重要手段

并购是 EDA 企业成长的最佳选择。全球三大巨头的成长史就是一部并购史,其中 全球 EDA 巨头 Synopsys 自 1986 年成立至 2021 年 4 月,共完成 112 起收并购案。并购 在 EDA 行业如此兴盛的原因有:

1)行业小细分领域繁多。根据 ESD Alliance 和 WSTS 数据,2020 年全球 EDA 行业市场规模只有 115 亿美元,相比于下游半导体行业 4404 亿 美元的市场规模,是一个“小行业”,但由于 EDA 软件要服务于芯片设计生产的整个产 业链,EDA 的技术流程很长,需要种类繁多的点工具相互配合形成工具链,同时,客户 希望 EDA 厂商能够提供整体解决方案。2)技术更新迭代速度快。在摩尔定律的驱动下, 芯片更新换代速度很快,新技术不断涌现,作为上游设计软件的 EDA 厂商每年也要投 入大量的研发资金来适应技术的革新上,但还是会有很多创业公司创造出全新的点工具。 行业小细分领域繁多,客户又希望 EDA 厂商提供完整解决方案,于是 EDA 厂商在不断想办法补全自己产业链。但技术的快速迭代,行业内不断有小公司带着创新点工具出现, 行业小导致自研技术去取代这些公司成本较高,并购是最佳选择。

Cadence 通过并购成为一代霸主。Cadence 于 1989 年收购 Verilog 是其最为重要的 一次并购,通过这次并购 Cadence 成功解决了复杂度带来的芯片性能验证问题,也标志 着 EDA 从设计领域,拓展进入了软件模拟和硬件仿真领域,设计与仿真能够通过使用 同一家公司的不同套软件来完成。2001 年 Cadence 收购 Silicon Perspective,将 IC 布局 工具和 SI 分析工具收入囊中,为下一代布局布线做技术储备;2002 年收购 Simplex,补 足寄生参数提取和分析方面的能力;同年收购 IBM 硬件仿真业务,真正占领硬件仿真 高地。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


Synopsys 通过并购超越 Cadence,铸就全球 EDA 龙头地位。纵观 Synopsys 的发展 历史,不仅通过大量的并购完善了公司业务,实现了全流程覆盖,同时也通过数次关键 并购从而直接在与剩余两大巨头的竞争中脱颖而出,成为全球 EDA 龙头。根据芯思想 数据,2002 年,Synopsys 以 8.3 亿美元收购与 Cadence 结束专利诉讼的 Avanti,从而成 为 EDA 历史上第一家可以提供顶级前后端完整 IC 设计方案的领先 EDA 工具商。这场 收购改变了传统上“Synopsys 占前端,Cadence 占后端”的格局,让 Synopsys 在进入到 后摩尔定律时代之前完成基石技术的布局。(报告来源:未来智库)

4.国产EDA星星之火可以燎原

4.1. 从中外对比看国产 EDA 现状

海外 EDA 产品矩阵更全。从 EDA 产品矩阵的完整度来看,根据我们测算,EDA 工 具链大约有 40 个细分领域,国内厂商尚未如国际三大家一样实现 EDA 全流程、全细分 领域的覆盖。截至 2021 年 12 月,国产 EDA 龙头华大九天,也仅能够实现模拟芯片设 计和平板设计全流程覆盖,覆盖率约为 40%,其他国产 EDA 厂商产品多为点工具,尚 不能为客户提供特定领域全流程产品服务。

海外 EDA 产品支持的工艺更先进。从 EDA 产品的技术先进性看,国际三大巨头产 品能支持的最先进工艺已经达到 2nm,而国内厂商仅有部分产品支持较先进的工艺制程。 如华大九天的模拟设计全流程工具中,仅有一款电路仿真工具支持 5nm 制程,其余仅支 持 28nm 制程,思尔芯的 EDA 产品仅支持 10nm 制程。

IP 已经成为海外 EDA 公司的重要收入,但国产 EDA 公司尚未大规模布局。EDA 三巨头中的 Synopsys 和 Cadence 同样也是 IP 市场的巨头,Synopsys 和 Cadence IP 市场 营收规模占有率为全球第二和第三,仅次于 ARM。相比之下,国产 EDA 厂商大多还在 研制 EDA 工具,未布局 IP 产品。随着集成电路产业的不断发展,IP 的作用会愈发显著, 国内外的 EDA 公司在 IP 的发展上已经产生了较大差距。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


海外 EDA 产品先发优势明显,客户粘性较高。从 20 世纪 70 年代,软件被用于辅 助芯片设计算起,国外 EDA 产业已经发展近 50 年,先发优势明显,技术、生态和客户 使用习惯均较为完善。另外,2021 年先进制程芯片流片费用已经高达数亿元人民币, EDA 工具选择关乎流片的成功率,客户更换 EDA 工具带来的风险极高,当客户使用国 产 EDA 跑出数据与国际巨头 EDA 工具不一致时,甚至需要国产厂商对结果进行解释。

国内 EDA 专业人才数量匮乏,且多数任职于外资 EDA 企业。根据赛迪智库数据, 2020 年我国 EDA 行业从业人员数量约为 4400 人,其中本土 EDA 企业总人数约2000 人。虽然相比 2018 年的 700 人有了大幅度的增长,但是相比于海外还是存 在较大差距。根据第 23 届中国集成电路制造年会披露数据,全球 EDA 行业从业 人数在 4 万人左右,而截至 2021 年 12 月,仅 Synopsys 员工数量就达到了 1.5 万 人以上。

我国 EDA 储备人才培养体系不够完善。海外 EDA 培养体系较为成熟,2015 年, 美国 SRC 公布了 STARnet 计划,计划在五年内向六个大学研究中心投资 1.94 亿美元, 其中多个项目直接与 EDA 相关。Synopsys 进入中国以来,已经与清华大学、东南大学、 华中科技大学等知名高校合作,为其提供软件支持,成立合作交流中心。我国目前仅有 少数院校拥有 EDA 方向的研究和人才培养计划,国产 EDA 公司与高校的合作也是刚刚 开始,人才培养体系还不够完善。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


海外半导体产业链协同更加紧密。EDA 软件不是独立发展的,EDA 需要与芯片设 计厂商和晶圆制造厂共同协作,打磨产品,推进技术的进步。海外半导体产业链齐全, 有英伟达、英特尔和 AMD 等头部芯片设计厂商,也有三星、台积电、格罗方德等大型 晶圆制造厂。合作伙伴们本身都是细分赛道的龙头企业,在产业链中扮演关键角色,强 强协同下更能提升 EDA 产品的竞争力。

海外 EDA 并购土壤肥沃。EDA 三大巨头主要通过并购补全自身产业链,并购需要 的不仅仅是资金,还有可供并购的优质标的群体。根据 crunch base 数据,2020 年,海 外共有 600 多家(美国 200 多家),这为巨头并购提供了丰沃的土壤,相比之下国内仅 有几十家 EDA 国产企业,一定程度上也制约了国内 EDA 产业的发展。

4.2. EDA 国产化势在必行

中美科技脱钩,趋势愈演愈烈。美国不仅绕开世界贸易组织,直接对正在崛起的中 国施加额外贸易关税,而且在科技领域也针对“中国制造 2025”等采取一系列限制措施, 华为、中兴通讯等均位列制裁名单。2019 年 5 月 16 日,美国商务部宣布将华为及 70 家 关联企业列入所谓的“实体清单”。如果没有美国政府的批准,华为将无法向美国企业购买元器件。受此影响,多家国外供应商开始对华为实行“断供”。美国对中国的压力已经 由经贸领域全面上升到科技领域,高新技术成为双方争夺的焦点。EDA 作为“半导体皇 冠上的明珠”,必然受到美国限制,华为目前已经停止了与国际三大巨头的合作,自主研 发 EDA 已经势在必行。

华为四度落子国产 EDA 企业,重要程度可见一斑。自 2020 年 12 月以来,华为旗 下哈勃投资已经投资了四家国产 EDA 公司,包括射频全流程工具提供商九同方微电子、 专注于工业设计和仿真的无锡飞谱电子、专注于逻辑综合和物理设计的立芯软件及专注 于数字前端形式验证的阿卡思微,均为在各细分点工具领域领先的国内 EDA 厂商。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


4.3. 三十年发展,EDA 国产之火点亮

国产 EDA 历经三十余年艰难发展,迎来政策和资本支持。EDA 的国产之路起于 20 世纪 80 年代,20 世纪 90 年代初,中国历史上第一款具有自主知识产权的 EDA 工具“熊 猫”诞生,并获得多个国际大奖。但随后国外 EDA 厂商进入中国,在“造不如买”思 潮下,国产 EDA 产业陷入了十几年的沉寂。直到 2008 年国家“核高基”项目将 EDA 列 入其中,国产 EDA 产业才重新焕发生机。同时,中兴、华为事件使人们意识到关键基 础技术的重要性,资本市场也开始关注 EDA 行业。根据芯思想研究院数据,2020 年 EDA 行业融资次数已经达到 16 次,远超 2010 年的 1 次。

国产 EDA 行业逐渐壮大,星火已现燎原之势。在国家政策与资本双重支持下,国 产 EDA 厂商数目不断增加。根据芯思想研究院数据,2020 年国内已有约 49 家 EDA 企业,比如华大九天、芯华章、芯愿景、广立微、概伦电子、思尔芯等,截至 2021 年 12 月 30 日,国内已有 4 家企业申请 IPO,其中,概伦电子已经上市。这些国产 EDA 厂商 从各个细分领域进行技术突破,其中华大九天已经可以提供模拟芯片设计全流程的 EDA 产品。根据赛迪智库数据,2018-2020 年中国 EDA 市场营收国产化份额逐步由 6%提升 至 11%,国产化步伐逐步加快,星星之火已现燎原之势。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


5.四家典型国产EDA公司引领EDA国产化浪潮

5.1. 华大九天:国产 EDA 之巅,唯一国家队

传承自“熊猫”,国产 EDA 龙头。公司创始人参与设计中国第一款具有自主知识产 权的 EDA 工具“熊猫 ICCAD 系统”,技术功底扎实,行业经验丰富。公司成立于 2009 年,主要从事 EDA 工具软件的开发、销售及相关服务。主要产品有模拟电路设计全流 程 EDA 工具系统、数字电路设计 EDA 工具、平板显示电路设计全流程 EDA 工具系统 和晶圆制造 EDA 工具等。根据赛迪智库数据,2020 年华大九天营收占我国 EDA 市场 约 6%的份额,占国产 EDA 市场营收份额超过 50%,居本土 EDA 企业首位。

营收规模国内最大,高度重视技术研发。华大九天 2020 年营收 4.15 亿元,同比增 长 61%,为国产 EDA 厂商中规模最大的公司。2020 年归母净利润为 1.04 亿元,同比增 长 81%。EDA 行业为技术密集型行业,华大九天高度重视技术研发,2018-2020 年研发 费用率维持在 40%以上,2020 年公司研发人员占比高达 67%。截至 2021 年 6 月 30 日, 公司已拥有已授权专利 145 项和已登记软件著作权 51 项。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


唯一国家队,中国电子给予华大九天强产业链支持。华大九天第一大股东中国电子 信息产业集团有限公司(简称:中国电子,CEC)是中央直接管理的国有重要骨干企业。 CEC 成功突破高端通用芯片、操作系统等关键核心技术,构建了兼容移动生态、与国际 主流架构比肩的安全先进绿色的“PKS”自主计算体系。根据 CEC 官网数据,截至 2020 年底,中国电子拥有 26 家二级企业、15 家上市公司、18 余万员工,实现全年营业收入 2479.2 亿元。CEC 旗下半导体企业众多,如飞腾、成都华微电子、澜起科技、中国振华 等,为华大九天产品的技术迭代和生态建设甚至是收购兼并提供了强有力的产业链支持。 第二大股东大基金一期二期对半导体产业链从原材料到封装测试进行了全面投资,能够 为华大九天的产品提供全产业链支持。

5.2. 概伦电子:国产 DTCO 引领者

十年一剑,“DTCO”产品技术世界领先。概伦电子是提供大规模高精度集成电路仿 真、高端半导体器件建模、半导体参数测试解决方案的厂商,致力于推动先进工艺开发 和高端芯片设计的深度联动。公司成立于 2010 年,创始人曾任 Cadence 全球副总裁。 历经 2010-2020 年,公司实现了 DTCO(设计工艺协同优化)真正落地的从数据到仿真 的创新 EDA 解决方案,得到了业界的认可。公司于 2019 年底并购北京博达微科技,增 强了业务实力,为公司持续进行并购提供了范本。

概伦电子深耕器件建模及电路仿真领域。公司在器件建模和电路仿真验证两大集成 电路制造和设计的关键环节进行重点突破,自主研发了相关 EDA 核心技术,可有效支 撑 7nm/5nm/3nm 等先进工艺节点下的大规模复杂集成电路的设计和制造,帮助晶圆厂 在工艺开发阶段评估优化工艺平台的可靠性和良率等特性,建立精确的器件模型、PDK 和标准单元库,并通过快速精准的电路仿真帮助集成电路设计企业有效预测芯片的性能 和良率,优化电路设计。

IPO 募资致力于打造存储 EDA 全流程工具。公司自成立起就致力于 DTCO 方法学 的践行,存储器芯片领域企业对性能和良率指标及产品上市时间的要求极高,是公司推 广 DTCO 落地的理想场景。截至 2021 年 12 月,公司已在存储器芯片领域取得国际大客 户(如三星、SK 海力士、美光科技等)认可。公司 IPO 募资拟投入 3.5 亿元继续研究开 发存储器芯片全流程设计平台及其相关 EDA 工具,进一步扩大公司在该领域的优势。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


营业收入快速增长,制造类 EDA 工具营收占比最大。概伦电子 2020 年营收 1.37 亿元,同比增长 110%。公司营收类型分为 EDA 工具授权、半导体器件特性测试仪器销 售以及半导体工程服务。EDA 工具授权主要包括制造类 EDA 工具及设计类 EDA 工具, 其中 2020 年制造类 EDA 工具收入占比达 43%,设计类 EDA 工具占比 26%。半导体器 件特性测试仪器占比逐年增长,2020 年占比 18%,已成为第三大业务。2020 年归母净 利润为 0.29 亿元,扣非净利润于 2019 年扭亏为盈,2020 年扣非净利润为 0.21 亿元,同 比增长 91.6%。概伦电子长期注重研发投入,扣除股份支付影响后 2018-2020 年研发费 用率维持在 36%以上,2020 年公司研发人员占比达到 54%。

存储芯片 EDA 器件建模及电路仿真领域达到国际一流水平,积累大量知名客户。 概伦电子在器件建模和电路仿真两大集成电路制造和设计的关键环节掌握了具备国际 市场竞争力、自主可控的 EDA 核心技术,形成了核心关键工具,能够支持 7nm/5nm/3nm 等先进工艺节点和 Fin FET、FD-SOI 等各类半导体工艺路线,构建了较高的技术壁垒。 公司国际竞争力的提升使其在全球范围内已形成较为稳固的市场地位,得到全球领先晶 圆厂的广泛使用,包括台积电、三星电子、联电、格芯、中芯国际等全球前十大晶圆代 工厂中的九家。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


5.3. 广立微:成品率提升领域全流程覆盖,独特的制造类 EDA 中坚力量

国内领先的集成电路 EDA 软件与晶圆级电性测试设备供应商。广立微于 2003 年 成立于杭州,创始人曾任 PDF Solutions 高级工程师,Xilinx INC.资深主任工程师。公司 专注于芯片成品率提升和电性测试快速监控技术,主要提供 EDA 软件、电路 IP、WAT 测试设备以及与芯片成品率提升技术相结合的全流程解决方案,公司先进的解决方案已 成功应用于 180nm~4nm 工艺技术节点。

营收增速较快,软件技术开发与软件工具授权为主要收入来源。广立微 2020 年营 收 1.24 亿元,同比增长 87.30%。公司营收类型分为软件工具授权、软件技术开发、测 试机及配件以及测试服务。2018-2020 年软件工具授权与软件技术开发收入占比保持在 73%以上。2020 年测试机及配件业务占比 25%,超过软件工具授权成为第二大业务。 2020 年归母净利润为 0.50 亿元,同比增长 161.96%。广立微研发费用呈现逐年上升趋 势,2020 年研发费用率达到 33%,2020 年公司研发人员占比达到 78%。

广立微具备成品率提升领域下的全流程覆盖优势,避开与三大巨头的直接竞争。公 司发现了国内集成电路行业在成品率提升领域的市场空白,并较早投身于该领域,经过 多年的发展,公司已经在成品率提升领域形成了深度的积累。公司目前已经实现在成品 率提升领域的全流程覆盖,产品和服务受到了国内外一线厂商认可,公司客户涵盖了三 星电子等 IDM 厂商,华虹集团、粤芯半导体、合肥晶合、长鑫存储等 Foundry 厂商以及 部分 Fabless 厂商。此外,公司对标的海外 EDA 公司主要为 Keysight 及 PDF Solution, 避免与三大巨头直接竞争的同时,通过更优秀的本土化服务能力实现国产替代。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


目标成为国产制造类 EDA 中坚力量。广立微战略清晰,未来在进一步提升成品率 提升领域产品竞争力的同时,将拓展制造类 EDA 产品覆盖广度。依托多年的产品研发 和技术服务经验,公司与集成电路制造企业紧密合作,在集成电路设计、制造和电性测 试等方面积累了深厚的技术和客户基础。未来公司的发展方向将会仍会立足于现有业务 向公司擅长的集成电路制造类 EDA 拓展延伸,同时升级与延伸电性测试设备,为晶圆 级高精度测试提供全面解决方案,目标成为国产制造类 EDA 中坚力量。

5.4. 思尔芯:原型验证市场全球排名第二

国产原型验证龙头,业务聚焦数字芯片前端验证。公司于 2004 年成立,2018 年整 体并入国微集团。作为业内知名的 EDA 解决方案专家,思尔芯业务聚焦于数字芯片的 前端验证,主要为国内外客户提供原型验证系统和验证云服务等解决方案。思尔芯是中国原型验证领域龙头。公司原型验证解决方案已被 2020 年世界前十五大半导体企业中 的六家、中国前十大集成电路设计企业中的七家公司所使用。根据 CSIA 统计,2020 年 公司在中国原型验证市场中销售额排名第一,在世界原型验证市场中销售额排名第二。

业绩 2020 年扭亏为盈,原型验证系统为最主要收入来源。思尔芯 2020 年营收 1.33 亿元,同比增长 85.45%。公司营收类型分为原型验证系统和验证云服务。原型验证系统 主要包括逻辑系统、逻辑模块以及软件和外置应用库等。其中 2020 年逻辑系统主营业 务收入占比达 56%,逻辑模块主营业务收入占比 26%。2020 年公司扭亏为盈,归母净 利润为 0.10 亿元。思尔芯研发费用呈现逐年快速上升趋势,2020 年研发费用为 2,219.37 万元,同比增长 170%,2020 年公司研发人员占比达到 53%。

芯片设计EDA行业研究:思美国EDA强盛之路,看国产EDA星火燎原


致力于成为数字芯片设计全流程 EDA 提供商。数字芯片 EDA 的技术门槛和重要 性远高于模拟芯片 EDA,目前中国本土仅有极少量企业涉足数字芯片 EDA 工具。公司 是国内少数具备数字集成电路 EDA 工具能力的企业之一,填补了我国数字芯片设计环 节缺少自主可控原型验证工具的空白。公司未来将以原型验证工具为起点,通过内涵式 增长与外延式并购,成为业内领先的数字芯片设计全流程 EDA 提供商。思尔芯将 IPO 募集资金中的 4.5 亿元用于高性能数字芯片验证平台项目,巩固了公司在原型验证领域 的技术领先,打开了数字芯片前端验证的第一道工具入口,为公司实现全流程数字 EDA 工具链建设的目标打下基础。

6.投资分析

EDA 是现代芯片设计必不可少的工具,是最容易被“卡脖子”的关键工业软件。一 旦 EDA 这一产业链基础出现问题,整个集成电路产业都会受到重大影响。2018-2020 年 中国 EDA 市场营收规模增速远高于全球同期增速,但国产化率较低,主要是由于国产 EDA 厂商起步较晚,中国 EDA 厂商存在人员短缺、生态不全、技术落后等问题。但随 着国家对工业软件尤其是 EDA 的重视程度日益提高、资本市场对 EDA 支持力度不断加 大,国产 EDA 厂商有望迎来快速发展,2018-2020 年国产化率稳步提升。根据芯思想研 究院数据,2020 年国内 EDA 企业已达 49 家,截至 2021 年 12 月 30 日,国内已有 4 家 企业申请 IPO,其中,概伦电子已经上市,行业逐渐壮大。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。未来智库 - 官方网站

相关内容

同方股份有限公司英文文档下载.: PDF DOC TXT

猜你喜欢